FindSlide.org - это сайт презентаций, докладов, шаблонов в формате PowerPoint.
Email: Нажмите что бы посмотреть
не равно
VHDL-93
конкатенация
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- определение арифметических операций
use ieee.std_logic_unsigned.all; -- std_logic_vector <=> unsigned
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- определение арифметических операций
use ieee.std_logic_signed.all; -- std_logic_vector <=> signed
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- определение арифметических операций
sig_name <= expression1 when condition1 else
…
expressionN-1 when conditionN-1 else
expressionN;
безусловная ветвь else
все явно не указанные компоненты вектора
разделяются запятыми
with sel select
result <= a when “000”,
b when “011” to “110”,
c when “001” | “111”,
d when others;
… when choise1 | choise2 | … | choiseN,
… when choise1 to choise2,