FindSlide.org - это сайт презентаций, докладов, шаблонов в формате PowerPoint.
Email: Нажмите что бы посмотреть
Схема с памятью
Модель описания – конечный автомат
Выход зависит от текущих входов и их истории
В схеме присутствуют обратные связи
Значения изменяются по фронту синхросигнала
Для хранения значений используются динамические триггеры (flip-flops) и память (RAM)
process (sensitivity_list)
declarations
begin
statements
end process;
process (sensitivity_list)
declarations
begin
statements
end process;
Процесс
исполнение кода
возврат к началу процесса
ожидание изменения сигнала из списка
сигнал b не в списке чувствительности – процесс не выполнится
имя переменной
тип переменной
значение по умолчанию (опционально)
Переменные
Могут быть объявлены и использованы только внутри процесса
Оператор присваивания :=
Значение присваивается немедленно
Характеризуются только текущим значением
И сигналы, и переменные сохраняют свое значение между итерациями процесса
проверяется предыдущее значение
сигнал
if (condition1) then
statements1
elsif (condition2) then
statements2
…
elsif (conditionN) then
statementsN
else
statementsN+1
end if;
rising_edge(sig_name)
process(clk)
begin
if rising_edge(clk) then
. . . -- Значения сигналов и переменных будут присвоены
-- по фронту сигнала CLK
end if;
end process;
шаблон описания синхронной схемы
if rising_edge(clk) then …
sig_name’event and sig_name = ‘1’
case expression is
when choise1 =>
statements1
. . .
when choiseN =>
statementsN
when others =>
statementsN+1
end case;
for counter in range loop
statements
end loop;
while condition loop
statements
end loop;